Welcome![Sign In][Sign Up]
Location:
Search - fpga LCD

Search list

[SourceCode LCD-M16

Description: 基于mega16和fpga的多功能数字钟
Platform: | Size: 10752263 | Author: __SUN__S | Hits:

[Program doc用VHDL语言在CPLD_FPGA上实现浮点运算

Description: 用VHDL语言在CPLD/FPGA上实现浮点运算的方法-in VHDL CPLD/FPGA achieve floating-point computation methods
Platform: | Size: 82944 | Author: wei | Hits:

[SCMLCDcontrol

Description: LCD控制器.rar T6963C是最常用的点阵液晶控制器 包含初始化操作,中英文显示例程,字库.-LCD controller. Rar T6963C is the most commonly used dot-matrix LCD controller contains initialization operation, which showed the English routines, character.
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-VerilogMDLS16265B_driver

Description: 液晶驱动程序,利用DP-FPGA与精电蓬远液晶MDLS16265B测试通过。-LCD driver, the use of DP- FPGA and Varitronix Peng Yuan LCD MDLS16265B test.
Platform: | Size: 1024 | Author: 陈谷 | Hits:

[VHDL-FPGA-VerilogASIC_TFT

Description: 彩色TFT液晶显示控制电路设计及其ASIC实现-color TFT LCD control circuit design and ASIC implementation
Platform: | Size: 41984 | Author: njgwx | Hits:

[Software EngineeringCPLdFPGAel

Description: CPLD、FPGA在EL显示模块及接口电路中的应用,cpld实现数字电路取代,fpga取代液晶显示专用控制芯片。-CPLD, FPGA in EL Display Module interface circuit and the application Digital Circuit cpld replaced fpga replace LCD exclusive control chip.
Platform: | Size: 25600 | Author: 苏航 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-Verilogvga_lcd

Description: 这个是VGA的核是NOIS开发时使用的IP CORES 在FPGA的开发中使用的比较多-This is a VGA Nois nuclear development is the use of IP CORES in the FPGA used in the development of more
Platform: | Size: 605184 | Author: luojie | Hits:

[Embeded-SCM Developavi_main

Description: MP4 AVI CODE AND TFT LCD CODE
Platform: | Size: 5120 | Author: vic | Hits:

[VHDL-FPGA-VerilogLcddisplay

Description: Xilinx sparten3E Lcd显示程序-Xilinx sparten3E Lcd display program
Platform: | Size: 1024 | Author: ronghy | Hits:

[Other Embeded programsmartcard_vhdl

Description: SD卡读写的VHDL VHDL Source Files in Smartcard: Top.vhd - top level file smartcard.vhd conver2ascii.vhd binary2bcd.vhd lcd.vhd power_up.vhd-SD card reader of VHDLVHDL Source Files in Smartcard: Top.vhd- top level file smartcard.vhd conver2ascii.vhd binary2bcd.vhd lcd.vhd power_up.vhd
Platform: | Size: 420864 | Author: gbh | Hits:

[VHDL-FPGA-VerilogHC164

Description: 用verilog写的HC164的驱动程序,参考了Xilinx的经典算法,做了一点改进~~~很通用,是初学verilog以及FPGA开发很有用的一个程序!
Platform: | Size: 3072 | Author: 屠宁杰 | Hits:

[ARM-PowerPC-ColdFire-MIPS16X2_LCD_DRIVE_FPGA

Description: FPGA驱动STN (16x2)的程序,没有相应的液晶屏是看不到效果的,而且不是个工程文件,只能从中学习下,驱动液晶的信号怎么来。-err
Platform: | Size: 399360 | Author: 王网 | Hits:

[SCMMSP430F149_IIC_DAC5571

Description: 本源码维MSP430F149控制IIC协议的AD芯片DAC5571,并再1602液晶上显示数据 MCU的P1.0、P1.1 端口与DAC5571 的SDA、SCK端口连接,通过在两个GPIO上模拟 I2C时序从而实现对DAC的操作。可以看到,DAC5571 的输出端Vout连接到了跳线座P7 的第 1 脚。如果用短路帽将跳线座J1 的 2 脚 和 3 脚连接,则DAC的输出直接驱动LED,可以通过LED亮度的变化直观地观察到 DAC输出电压值的变化;如果用短路帽将跳线座J1 的2 脚和1 脚连接,则可以用 MSP430 内置的ADC对DAC输出的电压进行采样转换,对ADC和DAC电路同时进行应用。-MSP430F149-dimensional control of the source of the AD Agreement IIC chip DAC5571, and another 1602 on the display data LCD MCU of P1.0, P1.1 ports of the DAC5571 and SDA, SCK-port connectivity, through two GPIO on I2C timing simulation in order to achieve DAC operation. Can be seen, DAC5571 output Vout is connected to a jumper P7 Block 1 foot. If the cap will short-circuit jumper J1 Block, 2 pin and 3 pin connection, the DAC output to directly drive LED, through the LED brightness can be visually observed changes in DAC output voltage changes in value If the cap will short-circuit jumper Block J1 2 feet and 1 foot to connect, you can use the built-in ADC of the MSP430 output voltage DAC sampling conversion of ADC and DAC circuit applications at the same time.
Platform: | Size: 35840 | Author: skywalker | Hits:

[VHDL-FPGA-Verilogwumayi

Description: 研究了传统误码仪的工作原理与结构,并利用VHDL语言在FPGA芯片上模拟实现了绝大部分的传统误码仪的功能,如LCD显示驱动,串口通信驱动,误码测试,数据存储芯片驱动等功能.-Research on the traditional instrument of the working principle of error with the structure and language use of VHDL simulation in the FPGA chip realize most of the traditional instrument error function, such as the LCD display driver, serial port communication driver, error testing, data storage Chip-driven functions.
Platform: | Size: 4048896 | Author: 张杰 | Hits:

[WaveletDDS

Description: 利用EDA技术和FPGA在UP3开发板上实现直接数字频率综合器的设计。 实验中加入了相位控制字PWORD,用以控制相位偏移量的前四位,将相位偏移量加到ROM地址总线 上,从而引起从ROM中取得的正弦信号的偏移,实现移相信号发生器的移相功能。 实验中还加入了LCD显示功能,通过LCD显示模块器件,用LCD显示正弦信号的频率,所显示的频 率也是由频率字控制的。LCD的驱动原理同上次实验。-The use of EDA technology and FPGA development in the UP3 board direct digital frequency synthesizer design. Experiment by adding a phase control word PWORD, to control the phase offset of the top four will be added to the phase offset ROM address bus, thereby causing ROM obtained from the sinusoidal signal offset, shifted believe realize its phase-shifting function generator. Experiments have also joined the LCD display, LCD display module through the device, with LCD display the frequency of sinusoidal signal, as shown by the frequency of word frequency control. LCD driving principles with the previous experiment.
Platform: | Size: 1225728 | Author: Emma | Hits:

[VHDL-FPGA-Verilogs6_lcd_v

Description: FPGA实现的LCD接口,VHDL编程,FPGA芯片为ALtera公司的EP2c35-FPGA realization of the LCD interface, VHDL programming, FPGA chips for Altera
Platform: | Size: 1205248 | Author: 蔡白银1 | Hits:

[VHDL-FPGA-Verilogxd_lcd_comp

Description: 一款240*128的LCD模块在ALTERA FPGA NIOS中的应用,自己写的AVALON总线IP,包括所有源码,可轻松用于NIOS中,供大家参考-A 240* 128 LCD module in the ALTERA FPGA NIOS application, write your own AVALON Bus IP, including all source code can be easily used in NIOS for reference
Platform: | Size: 13312 | Author: 张敏 | Hits:

[SCMLCD

Description: 驱动192*64的液晶屏,通过使用FPGA译码可以实现对液晶屏的三个分区的读写操作,进而实现复杂的程序设计-Driver 192* 64 LCD screen, through the use of FPGA decoding can be achieved on the LCD screen of the three Divisions to read and write operation, and proceed to realize the complexity of the program design
Platform: | Size: 2048 | Author: wanghui | Hits:

[Compress-Decompress algrithmsLCD_1602

Description: 液晶屏lcd1602的使用历程,在actel的fusion系列FPGA中综合通过-LCD lcd1602 the use of the course, in the Actel FPGA series of fusion integrated through
Platform: | Size: 516096 | Author: luocaijin | Hits:
« 1 2 3 4 5 67 8 9 10 11 ... 28 »

CodeBus www.codebus.net